Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур

Автор: Шарапов А.А., Баранов Г.В.

Журнал: Труды Московского физико-технического института @trudy-mipt

Рубрика: Физика

Статья в выпуске: 2 (38) т.10, 2018 года.

Бесплатный доступ

Работа посвящена исследованию воспроизводимости результатов измерений количественных характеристик шероховатости наноразмерных структур. Предложен критерий оценки стабильности измерений, позволяющий сравнить результаты измерений, полученных на основе трёх наиболее часто используемых методик: по среднеквадратичному отклонению, по анализу функции корреляции отклонений краевых точек и по анализу графика спектральной плотности мощности в зоне низких пространственных частот. Обнаружено, что наилучшая стабильность результата достигается при определении величины неровности края по среднеквадратичному отклонению.

Неровность края, шероховатость, наноразмерные структуры, воспроизводимость результатов измерений

Короткий адрес: https://sciup.org/142215044

IDR: 142215044

Список литературы Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур

  • Красников Г.Я. Конструктивно-технологические особенности субмикронных МОП-транзисторов. М.: Техносфера, 2011.
  • Allan A., Edenfeld D., Joyner W., Kahng A., Rodgers M., and Zorian Y. Technology Roadmap for Semiconductors//IEEE Comput. Jan. 2002.
  • Lee J.-Y., Shin J., Kim H.-W., Woo S.-G., Cho H.-K., Han W.-S., Moon J.-T. Effect of line edge roughness (LER) and line width roughness (LWR) on Sub-100 nm Device Performance//Proc. of SPIE. 2004. V. 5376. P. 426-433.
  • Вонг Б.П., Миталл А., Цао Ю., Старр Г. Нано-КМОП-схемы и проектирование на физическом уровне. М.: Техносфера, 2014.
  • Baylav B. Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography//Thesis. Rochester Institute of Technology. 2014.
  • Баранов Г.В., Миленин А.П., Бакланов М.Р. Изучение влияния шага плазменного травления на шероховатость стенок канала FinFET в схеме гетероинтеграции//Микроэлектроника. 2016. Т. 45, № 3. C. 197-202.
  • Constantoudis V., Patsis G.P., Tserepi A., and Gogolides E.Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors//J. Vac. Sci. Technol. B. 2004. V. 21, N 3. P. 1019-1026.
  • Шарапов А.А., Баранов Г.В. Сравнительный анализ методов измерения количественных характеристик шероховатости наноразмерных структур//Труды 60-й Всероссийской научной конференции МФТИ. Электроника, фотоника и молекулярная физика. 2017. https://abitu.net/public/admin/mipt-conference/FEFM.pdf.
  • Levi S., Schwarzband I., Kris R., Adan O., Shi E., Zhang Y., Zhou K. Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD)//Proc. of SPIE. 2016. V. 9782. P. 97820I-1-9.
Еще
Статья научная